《表1 单波束两种实现方式FPGA资源消耗对比》

《表1 单波束两种实现方式FPGA资源消耗对比》   提示:宽带有限、当前游客访问压缩模式
本系列图表出处文件名:随高清版一同展现
《一种时域波束形成系统的工程实现方法》


  1. 获取 高清版本忘记账户?点击这里登录
  1. 下载图表忘记账户?点击这里登录

图4中的系统可根据选用的FPGA资源确定形成时域波束的个数。对于单个时域波束,其在利用distributed RAM和乘法器两种情况下消耗的SLICE资源如表1所示。distributed RAM实现方式在不消耗乘法器资源的情况下,Slice Register消耗少一半,Slice LUTs消耗与乘法器实现方式相当,具有工程实用价值。