《表1 FPGA资源消耗》

《表1 FPGA资源消耗》   提示:宽带有限、当前游客访问压缩模式
本系列图表出处文件名:随高清版一同展现
《基于PCIe高速通信接口的图像处理系统设计》


  1. 获取 高清版本忘记账户?点击这里登录
  1. 下载图表忘记账户?点击这里登录

现充分利用Vivado HLS的性能优化方法,通过内联函数、循环展开、流水线优化等时序优化方法保证图像处理应用运行时间。通过小数组拆分、浮点转定点、数组合并、数据位宽优化等优化方式尽量减少FPGA的资源消耗。经仿真综合,FPGA的片上资源消耗情况如表1所示,其中LUT的占用率为7.34%,FF的占用率为3.1%,BRAM占用率为7.82%,DSP占用率为6.42%,PCIe资源占用了1个。从中可以看出,本文系统在占用少量FPGA片上资源的情况下,完成了图像处理系统设计。同时FPGA片上功耗为3.899 W,保证了较低的运行功耗,可以获得更高的能效比。