《表1 FPGA逻辑资源消耗》

《表1 FPGA逻辑资源消耗》   提示:宽带有限、当前游客访问压缩模式
本系列图表出处文件名:随高清版一同展现
《一种模块化多电平换流器动态组合实时仿真模型》


  1. 获取 高清版本忘记账户?点击这里登录
  1. 下载图表忘记账户?点击这里登录

本算例中交流系统等效为交流电压源,因此每个子网的矩阵规模较小,矩阵求解时间较短,本算例矩阵求解时间为2.61μs。根据本算例电路特点,在使用动态组合模型时,MMC1与MMC3采用等效电路模型,MMC2则采用动态组合模型,并复用MMC1的计算模块,即表1中的方案1。当系统规模较大,矩阵计算时间所占每步长计算总时间比例较大,则可以仅对MMC1使用等效电路模型,MMC2与MMC3采用动态组合模型,即表1中的方案2。表1为等效电路模型计算模块与平均值计算模块在FPGA中的计算资源消耗情况,这些资源主要包括DSP单元,触发器(Flip-Flop,FF),查表单元(Look-Up Table,LUT)。单个计算模块用于一个桥臂计算,每个MMC中6个桥臂的计算以串行方式复用该模块。其中等效电路模型计算模块完成一个桥臂耗时0.55μs,即整个MMC需3.3μs。采用方案1时,硬件资源可节约32%左右,采用方案2时,硬件资源可节约66%左右。本算例实时仿真设计基于方案1实现。