《表3 TERC4编码方式》

《表3 TERC4编码方式》   提示:宽带有限、当前游客访问压缩模式
本系列图表出处文件名:随高清版一同展现
《HDMI系统编码原理研究》


  1. 获取 高清版本忘记账户?点击这里登录
  1. 下载图表忘记账户?点击这里登录

在数据岛周期的每一个TMDS时钟周期(包括两个Guard Band),数据通道0的第0位和第1位都传送经过编码的HSYNC和VSYNC。信号数据通道0的第2位用于传送数据包头,数据通道1和2各有低四位被用来传送数据包。每个数据包有32个像素的长度,并通过BCH错误校正码进行错误纠正和检测。数据岛周期中,三条TMDS通道都是在传送一系列由4位输入数据编码得到的10位数据,使用的是降错编码TERC4。如表3: