《表1 仿真时间对比:面向串行总线的层次化UVM验证平台设计》

《表1 仿真时间对比:面向串行总线的层次化UVM验证平台设计》   提示:宽带有限、当前游客访问压缩模式
本系列图表出处文件名:随高清版一同展现
《面向串行总线的层次化UVM验证平台设计》


  1. 获取 高清版本忘记账户?点击这里登录
  1. 下载图表忘记账户?点击这里登录

与传统的transaction定义方法相比,改进的transaction定义缩短了仿真运行时间。表1列出在回归测试之前相同测试用例下,2种不同的transaction定义对仿真时间的影响。I2C,SPI模块对transaction的操作相对复杂,调用了copy,compare,print函数,与改进前的transaction定义相比,仿真速度提升了6%~7%左右;而UART模块相对简单,对transaction的处理次数少,仿真速度只提升了3%。