《表3 浮点数转定点数IP核配置》

《表3 浮点数转定点数IP核配置》   提示:宽带有限、当前游客访问压缩模式
本系列图表出处文件名:随高清版一同展现
《基于FPGA的数字加速度计设计与实现》


  1. 获取 高清版本忘记账户?点击这里登录
  1. 下载图表忘记账户?点击这里登录

最后的计算结果为单精度浮点数,需要将其转换为正整数。此时需要将浮点数转换成为定点数,由于定点数带符号位,所以只有整数部分为17位时,才能输出最大65535的整数[11,12],浮点转定点IP核配置如表3所示。当输入的浮点数大于65 535时,浮点数转定点数IP核将会时钟输出65 535。