《表2 定点数转浮点数IP核配置》

《表2 定点数转浮点数IP核配置》   提示:宽带有限、当前游客访问压缩模式
本系列图表出处文件名:随高清版一同展现
《基于FPGA的数字加速度计设计与实现》


  1. 获取 高清版本忘记账户?点击这里登录
  1. 下载图表忘记账户?点击这里登录

利用Xilinx浮点数IP核定点数转换为浮点数的功能将16位有符号整数转换为浮点数时,配置IP核如表2所示,定点数的整数部分设置为16位,小数部分设置为0位,输出选择单精度浮点数即可完成转换,并且会自动进行四舍五入。