《表3 APB-UART模块寄存器描述》

《表3 APB-UART模块寄存器描述》   提示:宽带有限、当前游客访问压缩模式
本系列图表出处文件名:随高清版一同展现
《基于CMSIS标准的SOC快速系统级验证与芯片测试》


  1. 获取 高清版本忘记账户?点击这里登录
  1. 下载图表忘记账户?点击这里登录

该APB-UART模块(已经通过了基于UVM的System verilog验证)的输入输出信号如图2所示,左面为APB总线接口信号[5],右面为UART的收发接口.各个信号的描述如表2所示.该UART模块各个寄存器功能如表3描述.