《表2 经典混沌系统与忆阻混沌系统连续与离散设计结果比较》

《表2 经典混沌系统与忆阻混沌系统连续与离散设计结果比较》   提示:宽带有限、当前游客访问压缩模式
本系列图表出处文件名:随高清版一同展现
《双磁控忆阻器动力学模型及FPGA硬件电路实现》


  1. 获取 高清版本忘记账户?点击这里登录
  1. 下载图表忘记账户?点击这里登录

为体现本文提出的基于FPGA技术硬件设计方法具有一定的普适性,我们分别选取了两个经典连续的混沌系统(Lorenz系统和Chua系统),以及文献[9]中含忆阻特性的动力学方程,并结合本文提出的双磁控忆阻模型,通过MATLAB数值计算与FPGA硬件设计进行比较,实验结果见表2。