《表1 8通道测量结果:基于FPGA的8通道高精度TDC技术》

《表1 8通道测量结果:基于FPGA的8通道高精度TDC技术》   提示:宽带有限、当前游客访问压缩模式
本系列图表出处文件名:随高清版一同展现
《基于FPGA的8通道高精度TDC技术》


  1. 获取 高清版本忘记账户?点击这里登录
  1. 下载图表忘记账户?点击这里登录

固定通道1的位置,改变通道2的输入位置,得到8通道的测量结果,如表1所示。可以发现,待测时间间隔误差峰峰值为157.2ps,精度为36.8ps,各通道间的均值不一致的原因是外部信号进入各延迟链的路径不一致。